lhz26 发表于 2024-2-23 13:03

美国预测中国EUV最快2025年试产

中国半导体行业的新时代:北京应对出口管制

因为我上次详细写中国国内半导体产业的话题是在 2021 年初,情况发生了很大变化。拜登政府继续对中国公司实施出口管制限制,2022 年 10 月 7 日的一揽子控制措施不仅针对先进半导体(例如用于运行人工智能和机器学习工作负载的 GPU),还大幅扩大了对半导体制造设备 (SME) 的控制。

美国控制措施的一个目标是阻止中国公司进入非平面技术流程,例如FinFET,并最终实现Gate All Around(GAA)。新的限制措施包括新的最终用途管制和针对美国人的管制,对中国国内半导体产业的未来发展提出了重大的新挑战。
2023 年 10 月 17 日发布的 2022 年 10 月控制措施更新遵循了这一方法,并为中国半导体行业带来了更多挑战。在2021年并不明显的程度上,中国公司采购先进半导体的长期能力现在与中国国内工具制造和制造能力的发展速度密切相关,因为现在无法使用外国代工厂的中国设计公司数量大幅增加。

美国的控制措施只影响尖端能力,因此中国企业将继续扩大国内大部分需求仍占国内需求的成熟节点的产能。在28纳米以下的更先进节点上,领先的中国公司继续可以使用一些先进的西方工具,特别是深紫外(DUV)浸没式光刻系统,他们将继续尽可能长时间地使用这些工具,以在更先进的节点上扩展逻辑生产,特别是低至7纳米甚至5纳米。然而,需要注意的是,使用 DUV 工具进行高级节点生产是很复杂的,因为使用多图形化等技术还需要其他关键工具(如沉积和蚀刻)的高级功能。对于先进的节点生产,需要关键处理工具的紧密耦合,而问题不仅仅是光刻工具,正如媒体和其他关于中国半导体行业的评论通常强调的那样。光刻胶等材料对于将 DUV 功能扩展到 7 纳米及以下的精细特征长度的过程也至关重要。

尽管到目前为止,美国的控制措施主要集中在先进的制造能力上,但北京和中国公司也担心未来的控制措施,并将优先考虑没有西方投入的工具和材料生产线,以降低长期风险。因此,即使他们仍然可以获得西方工具,几乎所有领先的中国铸造厂和存储器公司都在有条不紊地与国内工具制造商合作,开发和验证设备,最终建立基本上没有西方设备的生产工艺。这将是一个多阶段、多年的过程,从 40 纳米开始,并可能在今年迅速发展到 28 纳米,然后是 14、12/10,最后是 7 纳米。继续使用DUV等西方工具,再加上一些国外和越来越多的国内蚀刻和沉积工具,可以为中国半导体制造提供通往全国内未来的桥梁。从整个半导体行业的角度来看,中国正在发生的事情将在未来十年从根本上改变该行业。

此外,北京的官员正在开发新的公私合作方法,以推动先进光刻等关键技术的创新。北京正在与私营部门密切合作,通过简化将国家支持的先进研发转移到指定的私营部门公司,推动企业在关键技术上合作,以及寻求在其他部门取得成功的方法来克服瓶颈。这些方法包括让一家大型国有企业在该行业发挥主导作用,同时资助和促进多个团队解决棘手的问题,就像百万兆次级计算所做的那样。

半导体制造业的许多其他部分也是重新努力建立中国国内替代品的目标,例如设计工具、先进材料、先进封装技术和系统工程方法,旨在通过系统主导的方法提高性能,而不是仅仅依靠工艺节点的改进。所有这些方法对中国未来的国内能力都很重要,特别是封装,包括小芯片设计以及2.5和3D后端封装方法,这些方法将在系统工程工作中发挥作用,以提高性能水平,并过渡到新的、仅限国内的生产工艺。

从生产与全球主流半导体制造工艺相媲美的最终产品的意义上讲,这些都不是容易的,也不能保证成功。这些努力也将产生赢家和输家,西方工具制造商可能是最大的受害者,因为他们逐渐被冻结在2022年10月7日之前主导的巨大、增长和利润丰厚的市场之外。尽管如此,中国半导体行业的部分地区仍将与全球发展和供应链保持更大的联系,整体形势将继续复杂和不断发展。

针对中国
国内制造业的全面工具控制
2022年5月,美国国务卿安东尼·布林肯(Antony Blinken)将技术竞争置于美中关系和竞争的中心,6很少有人可能意识到那年晚些时候会发生什么。2022年秋天,拜登政府首次让高级官员阐明了美国对半导体和中国的战略政策,正如国家安全顾问杰克·沙利文(Jake Sullivan)和商务部副部长艾伦·埃斯特维兹(Alan Estevez)等其他高级官员所表达的那样。2022年底提出的“沙利文主义”包括几个部分,首先是沙利文断言美国打算在关键领域保持对中国的绝对领先,而不是滑动比例。他还表示,美国正在对中国和先进技术采取“小院子、高围栏”的做法,并进一步断言,先进计算(半导体以及人工智能、机器学习和高性能计算)、生物技术和绿色/清洁技术等技术是整个科技生态系统的“真正的力量倍增器”。沙利文主义的底线是:在这些领域中的每一个领域发挥领导作用都是“国家安全的当务之急”。

美国商务部 2022 年 10 月 7 日发布的规则中最复杂和最具争议的部分是对半导体制造工具和美国人的最终用途控制。中小企业控制要求向设备和美国人颁发许可证,以制造16/14纳米的逻辑半导体,128层的3-D NAND存储器和18纳米半间距的DRAM。这些控制措施最初是单方面取消的,没有得到其他主要国家(即日本和荷兰)的同意,其结果是,美国领先的工具制造商,如应用材料公司、KLA Tencor和泛林集团,被迫从中国的工厂撤出所有美国人员,特别是晶圆代工领导者中芯国际。 NAND存储器巨头长江存储和DRAM巨头CXMT。此外,一揽子限制措施还对中国国内半导体设备制造商的投入实施了控制,以防止他们取代外国设备领导者。几乎在一夜之间,整个中国国内制造和工具制造设备行业被带入了一个全新的时代。

2023 年 10 月更新
这些规则只会增加中国半导体公司面临的挑战。新的控制措施收紧了用于一些更旧的ASML DUV光刻工具的特定参数的阈值,再次移动了目标。2023 年的套餐还提高了可以出售给中国最终用户的高级 GPU 的性能门槛,捕获了全球领导者 Nvidia 为中国市场重新设计以遵守 2022 年套餐中的限制的多个 GPU。

对于中国国内产业来说,这些控制措施最重要的影响是极大地激励了中国国内外公司将美国技术设计出半导体领域。在此之前,中国科技公司与全球同行和竞争对手一样,获得并使用了最先进的设备和服务。许多观察家仍然错误地声称,中国的“中国制造2025”战略(2015年宣布)是一个信号,表明北京希望在关键领域单打独斗。一项与《中国制造2025》相关的附带研究列出了不同类型半导体生产的国内比例目标,这是非常不切实际的,但这几乎不代表政府协调一致的政策,中国半导体公司在很大程度上忽视了这一点。这种情况在 2023 年发生了变化。中国半导体行业资深专家强调,国内行业参与者更愿意使用最好的工具,但现在正面临越来越大的压力,需要偏袒国内公司并开发替代供应链。

中国对美国新管制措施的反应
随着 2022 年 10 月的事件开始在中国和世界各地上演,中国的工业规划者、公司高管和外国合作伙伴评估了损失,出现了几个关键问题,这些问题将决定中国半导体行业的未来方向。

首先,控制措施的单边性质使美国政府与荷兰和日本政府之间就如何协调控制措施进行了漫长而痛苦的对话。这个所谓的三边小组已经讨论了近两年的中小企业控制问题,但日本和荷兰都倾向于将任何最终用途控制措施设定在更先进的节点上,即10纳米或以下。当美方将最终用途控制设定为16/14纳米时,三边谈判破裂了。美国官员显然受到来自国防部的压力,2022 年夏天有报道称,中芯国际已经能够使用现有的深紫外 (DUV) 光刻设备和其他国内外工具(如蚀刻和沉积)生产某些层为 7 纳米的半导体。这是美国半导体行业认为拜登政府正在围绕中国最终用户技术控制参数“移动目标”的众多例子之一。

美国政府严重低估了政治和工业界对单边控制的抵制。所有关于“三边协议”的讨论很快就被放弃了,私下里,美国官员开始更多地谈论“公平的竞争环境”和“责任分担”。日本和荷兰政府都不想成为一项明显旨在遏制中国技术野心的协议的一部分,而且两国政府都遭到了其主要半导体公司的强烈反对。与此同时,无论是日本人、荷兰人还是工具制造行业,都没有期望内存包含在控件中。

内存是一个与逻辑截然不同的领域,高度商品化和竞争激烈,没有遗留节点,需要公司不断升级到最先进的流程。在 2022 年的控制措施中纳入内存的原因很复杂,主要集中在行业和行政部门对长江存储能够以多快的速度提升 NAND 制造曲线的担忧。该公司正在生产 128 层 NAND,并迅速转向 232 层及以上的更先进工艺。美国的担忧集中在长江存储从中国国家集成电路投资基金(National IC Investment Fund)中受益的补贴上。此外,美国官员还提到了长江存储向实体上市的华为提供NAND存储器的指控,尽管这些指控从未得到证实,而且长江存储被指控违反的域外控制本身在业内就存在争议。

就在实施控制措施之前,有消息称苹果正在试用长江存储NAND内存模块,用于其iPhone和iPad产品线。美国国会对中国的批评者认为这是一个潜在的安全问题,尽管苹果计划只将长江存储内存用于在中国销售的产品。网络安全专家也不认为内存作为一种不断被更高级版本取代的商品产品,可能会被用于安装某种类型的“后门”,这使得这些论点对许多行业观察家来说没有说服力。

2023 年 1 月下旬达成了一项临时协议,可能只是口头协议,荷兰和日本政府同意实施 2022 年 10 月 7 日一揽子计划中包含的一些类似但不完全相同的最终用途控制措施。然而,该协议的细节表明,与美国对人员的控制不同,荷兰和日本公司将能够将人员留在现场,直到合同完成。这使美国工具制造商处于重大劣势,并对中国半导体行业的未来产生了影响。

最后,2023 年 10 月 17 日的控制措施给中国半导体制造公司,尤其是晶圆代工领导者中芯国际,通过捕获旧的 ASML 光刻设备,增加了进一步的挑战。因此,到2023年底,负责与庞大的半导体产业相关的产业政策的中国官员面临着许多短期、中期和长期挑战。

首先,如何协助中芯国际、长江存储和CXMT等中国领先企业取代支持先进工具的美国人员,并缓慢地将这些大型制造业务过渡到仅从中国供应商采购的设备?长江存储已经感受到了控制的影响,该公司在 2023 年 1 月解雇了数千名员工。

二是在新的、更具挑战性的形势下,如何为行业提供政府财政支持?2021年底,国家IC投资基金内部的动荡开始了对该基金的腐败调查,使这一努力变得更加复杂。包括丁文武在内的大量基金高管以及前清华紫光集团董事长赵卫国等行业领袖被捕。16该基金的未来作用受到质疑,北京方面的部分担忧与该基金狭隘地专注于制造和设计有关,而对中小企业部门的投资没有给予足够的关注。

lhz26 发表于 2024-2-23 13:03

2022 年底和 2023 年初,有传言称政府正在考虑设立 1 万亿元人民币(1410 亿美元)的基金来支持该行业。但清华大学半导体产业政策高级顾问、清华大学教授魏少军等行业领军人士表示,他们并不知道有这样的基金正在考虑。17在当前的地缘政治气候下,在与美国关系恶化的情况下,高级政治领导人和行业规划者可能不愿意宣布这样一个基金的存在。他们在“中国制造2025”和“国家集成电路基金”等重大公告和项目方面的经验是,这些举措已成为美国政策的主要目标,并且可能会采取任何措施来避免这种情况在未来的任何举措中发生。

因此,截至 2024 年初,我们现在可以看到北京支持该行业的新战略的轮廓,我称之为中国半导体产业政策 3.0:

新的自上而下的方法。在 2023 年 3 月全国人民代表大会之后成立了一个新的经济团队后,有迹象表明北京将改革对半导体行业的高层监管。为此,在国务院副总理丁学祥的领导下,显然成立了一个新的半导体行业“领导小组”。中国媒体对它的提及有限,但很快就被删除了。这个新的领导小组将监督中国半导体行业新战略的各个方面,该战略大约在过去两年中一直在制定。

据业内人士称,新战略是对过去与半导体相关的产业政策方法进行内部审议和审查的结果。此前,官员们认为科学家主导的方法没有取得太大进展。与此同时,对国家IC基金的最新调查反映出人们的担忧,即通过该基金利用市场力量的尝试在关键领域也没有取得足够的进展。现在,高层领导认为需要一种截然不同的方法。该部门的一个可能的新途径是将监督委托给一家或多家大型国有企业。这些公司被认为是可取的,因为它们是由北京认为值得信赖的官员经营的,他们经营这些公司主要是为了国家的利益,而不是为了自己利益,例如,一些负责管理国家集成电路投资基金的人就是这种情况。

因此,高层可能已经做出了一个决定,即中国需要一个国家级的国有企业集团来执行整体半导体产业政策,其方式类似于核能行业与中核集团和航天与中汽集团等行业。其他被认为在其技术领域最成功的国家支持公司通常涉及国家安全和国防工业部门。因此,如果真的在2024年采取类似的举措,这将表明中国领导层认为该行业越来越重要,尤其是半导体制造设备、材料供应链和先进制造业。截至 2023 年底,这项任务似乎有可能交给 CEC 和/或 CETC 等两家大型国有企业集团的一家或组合。此外,还有迹象表明,大部分或所有主要半导体政策决策都将由国家发展和改革委员会(NDRC)负责。

加大基础半导体研发投入,将研发成果提供给商业企业。人们清楚地认识到,半导体物理等基础研发以及先进光刻光刻光源等关键技术还不够。丁磊领导的新领导小组的部分作用可能是更好地协调基本的研发支出和合作,并更快地将研发从国家机构转移到私营部门手中,以开发商业产品和系统。19例如,2023年初,有报道称,政府已指定华为、中芯国际、长江电子公司以及工具制造商Naura和AMEC这五家关键公司获得政府研发的特权。20这一新政策方针尚未得到官方证实,但一位资深观察人士指出,“中国政府将补贴这些公司生产和部署本地化的芯片制造工具,而没有任何资金上限,只是为了克服美国的限制。

完全支持开源硬件架构,特别是 risc-v。从更长远的角度来看,并渴望让中国公司摆脱专有的西方芯片IP和架构,如x86和Arm,北京也全力支持RISC-V精简指令集架构的发展。中国官员和行业领导者,如阿里巴巴及其芯片设计部门T-Head,在过去三年中已经接受了risc-v方法。在政府的支持下,中国的行业参与者正在与risc-v基金会密切合作,以帮助规划指令集架构的未来发展方向。虽然risc-v在中国的采用正在稳步推进,但它需要一段时间才能取代芯片IP和其他替代品,特别是Arm。

公私伙伴关系。半导体政策新方针中最重要的部分将是新的公私伙伴关系。随着北京努力采取新的行业监管方式,中国的私营部门可能在政府的鼓励下,悄悄地开始在中国公司远远落后于西方同行的领域投资关键研发。这些“阻塞点技术”现在已成为涉及政府研究机构和一家或多家私营部门公司的重大混合研发工作的目标,具体取决于所讨论的技术。特别是电信巨头华为,一直积极参与这一努力。包括智能设备制造商小米在内的许多其他中国私营企业也设立了基金,投资于整个半导体供应链。

到目前为止,华为是中国半导体产业政策3.0最重要的私营部门推动者。首先,由于美国的控制,华为与中芯国际建立了密切的合作关系,特别是与中芯国际最先进的晶圆厂——中芯国际、国家集成电路基金和上海集成电路基金的合资企业中芯制造华南公司(SMSC)建立了密切的合作关系。SMSC专注于开发先进的节点工艺,特别是使用FinFET技术。据一些业内消息人士称,SMSC拥有完善且高良率的12纳米工艺,SMSC还生产了华为所有最先进的设计,包括其新的智能手机和数据中心半导体,这些设计都是从台积电以前使用的设计中改编而来的。SMSC 几乎可以肯定拥有大量的 ASML DUV 工具,包括先进的 twinscan:2050i。2023年年中,中芯国际要求ASML加快2050i交付。据业内人士透露,中芯国际和SMSC目前拥有的先进的ASML DUV工具可以使SMSC每月增加约5万片晶圆的产能,用于7纳米工艺,但目前尚不清楚这是否能满足中国尖端智能手机以外的所有先进半导体需求。25由于 2022 年 10 月的最终用途控制,SMSC 设施也几乎肯定会成为美国商务部的目标,尽管很难确定哪些设施。有关哪些设施可能被覆盖的分析,请参见图2。

此外,在过去的三四年里,华为可能已经预料到美国会加强控制,因此创建了Hubble Technology Investment等投资工具,并悄悄地在半导体供应链中为各种公司提供了种子,特别是在硬技术创新领域。华为还与一系列新的晶圆代工厂合作,包括2021年在深圳市政府大力支持下成立的Pengxinwei(或PXW),可能是华为未来的制造工具。然而,PXW很快受到美国政府的审查,并于 2022 年 12 月被列入实体清单。PXW 的目标是 28 纳米生产,但也计划转向 14 纳米和 7 纳米工艺。半导体行业协会(SIA)去年的一份报告称,华为正在与PXW和DRAM制造商福建金华(jhicc)合作,作为提高逻辑和内存制造能力的更广泛努力的一部分。大概是针对华为消费产品和未来的电信基础设施。虽然jhic在2018年被列入实体名单,但在美光被指控盗窃知识产权后,该公司设法继续运营,可能得到了国家和地方政府以及华为的注资。华为的 Mate 60 手机可能使用了 SK 海力士等公司的库存 DRAM,但华为需要长期可靠的国内供应商,因此需要关注和投资DRAM厂商。华为也可能与其他新来者合作,例如另一家DRAM公司Swaysure和功率半导体生产商青岛Sien。

除了与中芯国际和其他现有晶圆厂合作外,华为几乎可以肯定有自己的独立努力来建立仅限国内的生产流程。它可能位于深圳,业内消息人士表示,华为已经组装了一条 28 纳米生产线,现在正朝着 14 纳米的能力发展。目前尚不清楚该设施的产量是多少,但很可能是小规模的。华为可能正在与上海集成电路研发中心(ICRD)以及包括SMEE在内的国内工具制造商合作开发这条生产线。

华为的做法既复杂又广泛。华为在DUV和EUV光刻等关键领域进行了研发。在这些领域,中国光刻公司似乎落后于行业领导者ASML以及尼康和佳能等日本公司,这使得光刻成为关键的技术瓶颈之一。除了为许多公司提供资金外,包括一些陷入美国控制的公司,该公司还在进行自己的研究,例如EUV相关技术这可以通过许可提供给其他公司。华为可能正试图变得更像三星,一家集成设备制造商(IDM)和代工厂,为自己的产品生产最关键的半导体。但在美国的出口管制下,华为的收入,特别是先进智能手机的收入大幅减少,这阻碍了该公司将收入用于研发工作以及先进制造所需的许多技术领域的能力。

三星和其他 IDM 也可以完全访问西方工具套件。因此,华为试图启动并资助以中小企业为重点的中国新工业供应链,面临着一场艰苦的战斗。然而,在 2023 年底,华为的情况有所好转,因为其新款 Mate 60 智能手机和其他先进消费产品的销售使该公司的年销售额接近 1000 亿美元,这是自 2019 年以来收入的首次增长,尽管仍低于该公司在美国控制之前的收入。华为与中芯国际,特别是SMSC合作,通过Mate 60在恢复近乎尖端的设计和制造方面取得了重大突破,这是一个重要的里程碑,也预示着中国公司将采取各种措施来绕过美国的技术限制。中芯国际已经拥有所有工具和知名的行业技术,例如使用ASML DUV工具进行多图案化,以及强大的沉积和蚀刻设备,以生产麒麟9000s系统芯片(SoC),这是Mate 60的核心,产量可能会在2024年上升。

中芯国际显然是华为支持完全本土供应链战略的关键,而不仅仅是先进节点半导体。几乎可以肯定,中芯国际与华为等公司以及SMEE、AMEC、Naura和PXX等工具制造商合作,已经建立了没有外国技术的生产线。据一些观察人士称,这些所谓的非A生产线将继续使用ASML或佳能光刻工具,直到SMEE能够取代这种设备,并将在今年迅速从40纳米发展到28纳米。鉴于美国对中芯国际和其他中国公司的审查,这些努力没有得到公开承认,因此很难评估进展,但业内人士继续表示,积极的时间表正在实现,中文半导体行业讨论组对这个问题进行了热烈的讨论。

最后,华为还与阿里巴巴和小米等其他参与者一起,引领着中国RISC-V的未来。华为是risc-v基金会的12家中国公司之一,与阿里巴巴、紫光展锐和其他较小的参与者一起成为该基金会的高级成员。华为在 2023 年底发布了基于 RISC-V 的微控制器 (MCU)。据报道,华为的芯片设计部门海思正在研究一种以数据中心为中心的RISC-V设计,并正在考虑将该架构用于AI加速器。尽管华为仍计划将Arm架构用于某些设计,但它将寻求在其业务部门中设计半导体的混合方法。除了可用于AI训练的Ascend系列加速器芯片外,华为还在开发自己的Maleon GPU架构。再加上 Harmony 移动操作系统,该公司正试图开发一个完整的非美国跨多个设备工作的操作系统生态系统。

关键技术:光刻和 EDA 工具
随着美国的出口管制促使中国半导体制造企业在一系列与生产相关的技术和材料中寻找国内替代品,北京援助私营部门的战略开始形成,重点是分阶段逐步实施国内生产工艺,这些工艺基本上没有西方工具。在短期内,该战略还涉及专注于系统工程方法的主要私营部门公司,以绕过控制,特别是开发先进的系统,这些系统虽然不如西方替代品,但对于许多应用来说已经足够好了。

在新的形势下,中国企业必须掌握的技术范围大大扩大。在 2022 年 10 月之前,这些领域正在开展一些工作,例如十多年前开始的政府支持的研究项目 02 项目,但许多公司可能不相信美国可以切断所有半导体制造技术。如今,这种可能性正在主导行业内的思维,并迫使北京和私营企业开发变通办法和替代方案。主要领域包括:(1)电子设计自动化(EDA)工具。(2)光刻、蚀刻和沉积。这些工具需要供应商协同工作,以确保顺畅的互操作性。(3) 材料,如工艺气体、光刻胶和关键输入,包括 ABF、TGV 和 TSV。(4)小芯片设计和先进封装技术,包括台积电的晶圆基板芯片(CoWoS)到2.5和3D封装等方法。

发展强大的国内EDA工具行业显然是北京的优先事项之一,并且似乎正在做出多项努力,以创造西方领先公司的替代品。正如我在 2021 年的论文中所指出的,Empyrean 似乎是中国领先的 EDA 工具公司。Empyrean 去年声称,它可以完全支持 7 纳米数字工艺和 5 纳米模拟工艺。此外,该公司正在努力填补其服务产品与Cadence和Synopsis等西方领导者之间的差距。华为也一直在开发EDA工具自 2020 年其芯片设计部门海思被列入实体清单以来,已经有一段时间了。2023年初,公司一位高管指出,华为与国内EDA公司合作,已成功“基本实现14nm以上EDA工具的国产化”。

lhz26 发表于 2024-2-23 13:04



在 2019 年和 2020 年美国对华为实施出口管制之前,海思可以完全访问全球领导者 Cadence、Synopsis 和 Mentor 的 EDA 工具,以及 Arm 的半导体 IP。同样在 2019 年,可能就在华为和海思被列入实体清单之前,这些公司从西方领先公司购买了大量 EDA 许可证,为期十年,然后由这些工具支持 7 纳米。因此,西方EDA工具可能用于设计麒麟9000,因为麒麟9000的原始设计是在2019年之前完成的。从那时起,华为一直在开发自己的EDA工具套件。尽管它仍然可以访问国外的 EDA 工具,但它无法获得软件更新和支持,这促使该公司长期开发替代 EDA 生态系统。虽然其他未列入美国商务部实体清单的中国设计公司仍然可以使用西方EDA工具,但随着这些软件生态系统的成熟,随着时间的推移,它们更有可能转向国内替代品。

目前发展半导体制造生产的战略似乎包括短期和长期目标。在短期内,国内领先的晶圆代工中芯国际在业内主要参与者的支持下,包括所有国内工具制造商和华为等其他公司,正试图建立40纳米和28纳米的生产线,而无需使用美国设备。然而,在短期内,光刻组件可能仍将包括ASML DUV浸没式光刻设备,中国光刻领导者SMEE正在努力改进该设备,以用于28纳米及以下。美国和荷兰的控制措施于2023年9月1日和2024年1月1日生效,使这项工作复杂化。值得注意的是,2023 年 10 月 17 日更新中包含的美国控制措施现在包括对 twinscan 19XX 系列设备的限制,台积电在访问 EUV 系统之前将其用于 7 纳米的一些关键层。中芯国际在 2022 年和 2023 年对这些和更先进的 ASML 系统做了同样的事情,这在一定程度上触发了美国决定在 2022 年 10 月的控制中将最终用途控制提高到 16/14 纳米。SMSC晶圆厂采用的中芯国际N+1和N+2工艺,在努力提高商业良率的同时,继续完善此类生产的技术基础。所有用于华为 Mate 9000 和 AI 应用的麒麟 900 和 Ascend 9XX 系列芯片都来自 SMSC 的 FinFET 工艺,尽管 2022 年 10 月进行了最终用途控制,但它们仍然能够继续运行。

2023 年底,有迹象表明,SMEE 已经能够生产出一种可行且可能商业化的 28 纳米 DUV 浸没式光刻工具,这是基于社交媒体的报道,这些报道后来被更改,然后被删除,但被西方媒体报道。12月19日,SMEE的国有支持者上海张江集团(ZJ集团)在一个经过验证的社交媒体账户上发帖指出,“作为中国唯一一家掌握光刻技术的公司,SMEE成功开发了28纳米光刻机。SMEE如何达到这一水平的故事很复杂,可能包括华为等玩家的一些帮助。一些中国媒体报道称,2021年,华为曾试图挖走大量SMEE工程师,用于自己的光刻工作但被中国政府官员劝说改弦易辙,与SMEE合作。中国政府还可能要求SMEE将其新的28纳米DUV系统与类似的ASML设备(特别是NXT:2000i)进行基准测试。SMEE系统(SSA800A)现在可能是正在测试和认证的完全国产生产线的一部分。

SMEE光刻工作导致了SSA800系列,该系列具有i-line,KrF,Arf和Arfi产品线,得到了中国大型项目的大力支持,该项目是2006年发布的十五年科学技术发展计划的一部分。该子项目名为Project 02,于2008年启动,名为“超大规模集成电路成套技术制造项目”。由于 02 项目的支持,SMEE 受益于政府协调 SMEE 设备供应商的努力,但是,这种努力要凝聚成更可行的东西是相当缓慢的。中国科学院等其他主要政府研发机构、清华大学等一些中国顶尖大学以及国家重点实验室也为这项工作提供了帮助。此外,华为的投资工具哈勃技术公司(Hubble Technology)还投资了支持这一努力并为SMEE提供供应商的公司之一:北京科亿宏源光电技术有限公司,该公司正在为DUV系统的核心提供准分子激光器。美国在2019年和2020年对华为的控制,以及拜登政府在2022年10月前所未有的一揽子控制措施,很可能激发了整个努力。

正如我在 2021 年的报告中指出的那样,中国的其他主要工具制造商在一段时间内只能获得蚀刻、沉积和计量等关键细分市场的一小部分份额。然而,2022 年 10 月的控制措施最重要的影响之一是迫使该行业促进合作和创新。据业内人士称,这种情况发生得很快,他们指出,现在领先的工具制造商和铸造厂之间存在高度的集成。一些主要参与者,例如生产蚀刻、沉积和清洁工具的 Naura,在 2022 年 10 月之前已经削减了西方领先公司的市场份额,但该公司此后取得了重大进展。特别是,Naura设备已经取代了许多受美国最终用途控制的设施(如长江存储)的美国工具制造商。2023 年 1 月初,该公司指出,其当年的收入预计将增长 42-57%。竞争对手中国先进微纳加工设备有限公司(AMEC)也预测2023年的收入将大幅增长,理由是国内晶圆厂的需求增加。特别是长江存储,它与包括Naura和AMEC在内的公司以及供应链中的其他公司进行了新的、更深层次的合作,部分原因是为了获得替换零件的帮助,并确保国内参与者能够随着时间的推移提高能力并取代外国工具制造商,在某些关键领域可能早晚。外国工具制造商越来越担心,国内工具制造商产品质量的提高最终也将使他们能够在中国以外的地方竞争,从而给保持领先地位所需的销售、收入和研发预算带来更大的压力。

一旦 40 纳米和 28 纳米生产线得到验证,中芯国际和业内其他参与者将转向类似的工艺进行 14/10 纳米生产,几乎可以肯定的是,在 SMSC,可能在 2025-26 年的某个时候。鉴于SSA800的SMEE突破,该系统有可能在7纳米以下使用,但目前尚不清楚这将以多快的速度发生,以及SMEE是否可以制造SSA800的多个商业版本。所有这些努力还可能包括确保与华为和其他小型企业开发的EDA工具的兼容性,以确保整个设计和制造过程在很大程度上不受出口管制的美国和盟国技术的影响。如果目标是从40纳米到28纳米,然后转向14/10和7纳米生产线,这将需要所有主要供应商的共同努力:即使中国工具制造商声称他们的设备能够达到14纳米甚至7纳米,但主要客户,特别是中芯国际,仍然需要在大批量生产下验证这些工具。截至 2023 年底,这一过程似乎是中芯国际在 2024 年底前拥有一条完全国产 14 纳米生产线的努力的一部分。

但是,尽管DUV的努力可能会在短期内取得成果,但它们并不是一个长期的解决方案。展望未来两到三年,即使中芯国际和其他晶圆代工厂能够利用SMEE DUV设备进行7纳米生产,要达到更先进的节点,也需要极紫外(EUV)光刻系统,这是只有ASML能够开发商业系统的利基市场。在中国,现在可能至少有两个甚至三个单独的努力来开发EUV系统,在某些情况下,使用不同的方法来生产ASML系统中使用的13纳米光。这些努力涉及合作,最近在新的半导体行业政策推动下,这种合作可能有所增加。其中一项工作由长春光学精密机械与物理研究所(ciomp)和中国科学院牵头。另一个可能涉及华为。此外,上海集成电路研究与发展中心(ICRD)正在该领域开展先进的工作。

中国研究人员也在研究一种称为稳态微聚束(SSMB)EUV的新方法。在2022年发表在《物理学报》上的一篇论文中,清华大学的研究人员提出了一种使用SSMB的设计,其中包括一个足球场大小的系统。它的光源为数十台同时工作的 EUV 机器供电。48这种方法似乎与任何级别的商业应用相去甚远,但从长远来看,它可能会变得很重要。

华为很可能也在努力解决一些EUV技术问题。这可能不是一项完全独立于其他工作的工作,因为几乎可以肯定的是,在某些领域,不同的研发工作以各种形式的合作结合在一起。例如,开发适当类型的光刻胶对于EUV光刻至关重要,并且已经为EUV光刻胶申请了许多专利,例如来自上海信阳的专利。中国半导体制造商在光刻胶方面极度依赖日本供应商,随着日本与美国出口管制保持一致,业内越来越担心材料出口也可能面临压力。2022 年 11 月,一家中国国内投资公司的一份报告称,对于不太先进的传统光刻胶,约 30% 由国内供应商提供,而对于 DUV KrF 工艺,这一比例降至 10%;国内供应的用于先进Arf DUV和EUV的光刻胶比例低于2%。

因此,除了光源和扫描仪系统本身之外,似乎还有多种协调的努力,以建立一个完整的生态系统,用于使用EUV的生产过程。此外,针对 3-5 纳米工艺节点的 EDA 工具功能可能正在开发中。

虽然中国国内企业在光刻硬件方面落后于ASML、尼康和佳能等全球领先企业,但也有人在努力缩小这些系统的关键软件部分的差距,即计算光刻。中国公司正试图开发更好的计算光刻能力,2022 年,一家名为宇威光学的公司声称已经独立开发了计算最优接近校正 (OPC) 软件,并表示正在测试和验证中。这可能是一个多年的过程,需要继续获得ASML的设备和维护,以及中国国内工具制造商和材料生产商在一系列技术方面的改进。

在设计和封装方面,一些中国公司已经在使用小芯片设计,这是一种将使用不同工艺生产的芯片集成在一个基板上的设计方法,以及先进的封装技术,包括 2.5 维和 3D 封装。例如,Biren 的 BR 100 GPU 使用了两个小芯片,在 Biren 10 月实体上市之前,采用台积电 7 纳米工艺制造。此外,华为正在寻求使用小芯片的设计研发。封装现在正成为整个生产的关键部分,后端封装被设计到整个生产过程中,从EDA工具到ARM等公司的IP集成,再到3D封装设计,这些设计通过不同复杂程度的半导体组合实现更大的功能。华为与长电科技和同富等国内封装领导者合作,正在开发自己的EDA工具,作为这一过程的一部分。52中国国家自然科学基金委员会去年发布了2023年集成芯片前沿技术科学基础重大研究计划项目指南,其中包括对2.5D和3D封装研究的支持。

与包装密切相关的是材料,例如光刻胶、基板和工艺气体。在这方面,中国企业仍然远远落后于日本和韩国的全球领导者。特别是日本,在光刻胶的供应中占主导地位——仅四家日本公司(富士胶片、信越、JR和TOK)就占了全球市场的70%以上——以及味之素堆积膜(ABF)等薄膜,这是一种用于生产高性能半导体的绝缘膜,如CPU。它对于电绝缘至关重要,有助于确保电子在芯片内的有效流动。到目前为止,还没有任何措施来控制这些类型的材料,但中国公司担心基材等材料最终可能会受到限制,并正在努力开发国内替代品。中国有许多光刻胶公司,如上海信阳、徐州博康、晶瑞、纳塔光电材料和红大道,它们正处于开发、生产和验证用于 KrF、ArF 和 ArFi 光刻的光刻胶的不同阶段。例如,信阳除了开发EUV光刻胶外,预计到2025年底还将完成KrF、ArF和i-line市场的设施,并将于2026年晚些时候开始生产,产能约为500吨。由于光刻胶对良率的影响,光刻胶的要求非常高,对于国内用于先进节点工艺的光刻胶来源的验证过程可能很长。

展望未来
截至2024年初,中国半导体产业的未来仍悬而未决。必须克服许多技术障碍,才能建立生态系统,使华为、阿里巴巴等高端设计公司能够设计和制造先进的芯片,而不必担心美国的出口管制。鉴于中国正在紧锣密鼓地发展的不同技术领域,单个技术或关键技术组何时成熟到能够实现特定制造供应链要素的规模化商业生产的程度还有待观察。

展望未来,一些中国国内设计公司有望保留在中国境外的代工服务,但对于GPU等一些子行业,美国的出口管制可能会进一步收紧,这将切断更多中国GPU初创企业进入台积电等。沿途会有一些关键的路标。例如,2025年对华为来说可能是重要的一年,因为华为在中国半导体和IT领域拥有独特的地位,在一系列子领域部署了设计、生产和商业实力。特别是如果中芯国际能够实现某种类型的5纳米工艺,那么到2025年,华为将拥有主要由国产电信、人工智能、服务器、电脑台式机、智能板和智能手机半导体组成的全系列的可能性越来越大。

作为这一演变的一部分,预计到2025年,中芯国际还将为阿里巴巴的T-Head、GPU领导者Biren Technology和Moore Threads以及其他国内设计公司等其他客户提供5/6/7纳米的容量。几乎可以肯定的是,SMSC将优先考虑技术领导者的先进制造能力,包括华为和其他关键参与者,例如开发GPU的公司,以最终取代英伟达,AMD和英特尔的西方产品。预计这里的大部分可能的性能提升不会来自功能尺寸的减小,而是来自设计和包装的改进——从这个意义上说,华为的Mate 60是未来事物的预演。

制造路线图是这样的:在未来三年内,国内工具制造商的目标是将28、14、7甚至5纳米规模的商业生产线组合在一起。这将使主要晶圆代工厂,如中芯国际和华宏,使用现有的国外(ASML,佳能和尼康)DUV设备,以及SMEE的一些国内DUV工具。这里的挑战将是,当获得备件和软件升级可能很困难时,如何保持外国设备的运行。

此外,各种EUV项目的工作将继续稳步进行,包括建立一支由工程师、经理和供应链专家组成的骨干队伍,以便在十年后开始为EUV系统的开发和部署开发可持续的生态系统。一些行业观察人士认为,在最乐观的情况下,EUV的进展意味着中芯国际可能会在2025年开始测试原型系统,但这种情况比其他行业评估要乐观得多。

对于许多应用,包括5G和训练大型语言模型(LLM),中芯国际和华华虹的高级DUV能力应该能够满足国内对先进GPU日益增长的需求。与此同时,一些仍未列入美国实体清单的中国公司,如中兴通讯、联想、小米等,仍将能够使用台积电等海外代工服务设计和制造半导体。中国将拥有复杂的混合半导体制造格局,内存制造商长江存储和CXMT等主要参与者因无法获得尖端工具而步履蹒跚,但仍能够生产可用的内存,而华为等其他公司将依赖中芯国际,首先是国外和国内的混合,然后是国内的工具生产线。

在此期间,整个供应链的中国公司将获得重大收益,特别是在用于先进节点的EDA工具、光刻胶和工艺气体等材料,以及基板、小芯片设计和专有的先进2.5D和3D封装能力等新领域。

假设北京的新半导体产业政策为DUV等技术带来了显著的收益,以维持中国的设计和制造业的运转,那么从2027年到2030年期间,可能会看到一些重大成功,即使用具有某种EUV技术生态系统的更先进的系统转向商业生产,即大批量制造(HVM)。此外,中芯国际和华鸿等中国包装公司和代工厂也将向价值链上游移动,并掌握一些先进的封装技术,包括大部分专有方法。

国内晶圆代工厂和中国半导体制造供应链中其他主要参与者的进步将逐步产生两个独立但相互关联的制造生态系统:中国国内和全球主流。影响这一趋势的因素包括替代品开发的速度和范围,中国工具制造商和其他生态系统参与者向中国境外出口和支持制造业的意愿,以及美国政府控制技术投入的范围和执行力度,特别是在人才流动方面,特别是工程和管理知识。然而,归根结底,美国的出口管制方式——认为阻止中国公司获得美国和盟国的关键技术将阻止中国公司做某些事情——将在现实的岩石上失败。美国出口管制的根本问题是,我们正在处理应用科学,没有单一的途径来实现技术性能水平,只有许多不同的,尽管困难的途径。中国企业将找到足够有效的途径,继续推动创新。类似的过程已经在华为的HarmonyOS上发挥作用。随着围绕该平台的开发者生态系统的发展,其他国内外公司也认识到,中国开发的操作系统的部署规模现在值得关注,以及在平台上开发应用程序所需的资源。

主要挑战依然存在
在 2024 年 1 月的达沃斯论坛上,英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 断言,鉴于各国实施的所有出口管制政策,中国面临着“10 年的差距和可持续的 10 年差距”。但在一位科技行业观察家进行的X(该平台以前称为Twitter)民意调查中,大多数人不同意基辛格的估计。

lhz26 发表于 2024-2-23 13:04



尽管如此,中国半导体行业面临的逆风仍然很大,因为拜登政府和随后的美国政府可能会继续加强对各种半导体供应链关键技术的出口管制,并寻求更多针对中国的多边控制措施。对于中芯国际、SMSC、华为和其他正在加强合作以开发先进制造业替代供应链的公司来说,一个重要的复杂情况是,美国政府将继续努力针对美国官员可能认为与华为合作的任何公司。例如,SIA的报告概述了华为对半导体行业的影响力,以及据称接受哈勃投资的公司之间的合作,可能导致美国官员将其他公司列入实体清单,不是因为过去或现在的行动,而是因为未来有可能供应华为。例如,PXW 已经是这种情况。此举是出口管制的新颖用途,也是美国官员“移动目标柱”的又一例证。美国商务部前官员凯文·沃尔夫(Kevin Wolf)指出,如果没有更详细的信息,就不可能知道像PXW这样的公司是否违反了美国法规。目前尚不清楚为什么代表美国领先的前端制造公司和工具制造商的SIA专注于华为对中国半导体供应链投资的潜在未来影响,以及华为可以使用哪些公司来采购一些半导体。美国对出口管制的总体影响,特别是对华为的影响,可能意味着美国和其他主要外国工具制造商越来越多地被挤出中国市场——美国、荷兰和日本工具制造商已经是针对中芯国际等中国半导体公司的出口管制政策的最大输家之一。 长江存储和CXMT。

此外,在2024年初,有迹象表明,拜登政府计划将一个或多个参与开发基于risc-v的解决方案的中国组织列入实体清单。目前尚不清楚这是否是针对risc-v生态系统的重大努力的开始,并且可能会受到业界的强烈反对。一位行业高管称此举“绝对愚蠢”,北京可能会对任何将开源技术纳入美中技术竞争的举动做出强烈反应,这些技术以前一直不受美国出口管制。2024年初,美国官员还在考虑收紧华为和浪潮等公司的出口许可,并将更多中国科技公司列入实体清单。美国政府还可能继续向荷兰和日本政府施压,可能与韩国政府合作,出口DUV光刻机和其他系统,以及向特定的中国最终用户提供备件和服务。这些措施可能会引起北京的强烈反应,北京去年将半导体行业广泛使用的镓和锗等关键材料列入了需要出口许可的清单。到目前为止,北京尚未限制这些材料和其他材料的出口,但 2024 年可能会朝这个方向采取一些行动,从而进一步扰乱半导体供应链。

另一个主要的外卡是荷兰和日本政府的意愿和能力,与他们的半导体工具制造商合作,这些制造商都是主要的国家冠军,以配合美国对中国工厂工具出口的不断变化的控制。两国政府似乎都不愿意完全符合美国的偏好,特别是在控制不太先进的设备方面,如浸入式DUV。两国政府也仍然担心中国可能采取的报复行动。至少在短期内,荷兰和日本以及韩国的公司可能会继续在中国的工厂提供一定程度的支持,包括备件、软件更新和公司人员的服务。

国务院副总理丁学祥和国务院总理李强等高级领导人,以及负责该行业的工信部和国家发改委政府官员,将继续寻找新的方法来促进未来一年的国内产业发展,包括补贴、优惠政策以及加强现有的高层新结构,如领导的小集团。与此同时,中国当局似乎正在确定大型国有企业在监督国内产业发展要素方面的最佳角色。

总体而言,中国半导体格局变得更加复杂和多样化,参与者、进入者和新型投资都很多,我们应该期待看到更多国内技术突破的公告。但是,成功克服该行业所面临挑战的真正衡量标准将是生产以国内供应链为主的新产品,如Mate 60,Biren和Moore Threads等公司的新GPU,以及其他中国公司最终转向国内替代品的意愿。


原文来自 《美国事务》第八卷,第 1 期(2024 年春季)

所有图片略。

https://americanaffairsjournal.org/?s=Paul+Triolo


原文:https://americanaffairsjournal.org/2024/02/a-new-era-for-the-chinese-semiconductor-industry-beijing-responds-to-export-controls/

MaxZLassie 发表于 2024-2-23 13:08

文章好長
謝謝分享

zn7726 发表于 2024-2-23 13:20

把中国生产的芯片禁了就行了啊,以后必须买美国亚利桑那台积电生产的芯片。哦,日本好像也有个台积电吧。

Jerrybull 发表于 2024-2-23 14:11

zn7726 发表于 2024-2-23 14:20
把中国生产的芯片禁了就行了啊,以后必须买美国亚利桑那台积电生产的芯片。哦,日本好像也有个台积电吧。 ...

那还是经济直接脱钩更简单

Gin_VT 发表于 2024-2-24 08:00

太长不看……
只要继续生产杜蕾斯,中国就没有前途……
页: [1]
查看完整版本: 美国预测中国EUV最快2025年试产

Advertisement
Advertisement